Who am I?

Personal Details

About Me

Tae Jun is currently a staff software engineer at Google working on HW/SW performance/resource effciency optimization for Google database & analytics systems.

Before joining Google, Tae Jun was a postdoctoral researcher at Seoul National University. Tae Jun received his B.S.E degree from Duke University and received his master's and Ph.D. in Electrical Engineering from Princeton University under the supervision of Professor Margaret Martonosi. His main research area includes hardware-software co-design for emerging applications and data access optimizations across systems and architectures.

Throughout his career, he published 30+ works in top computer architecture and systems venues (ISCA, ASPLOS, MICRO, HPCA, ATC, FAST, etc.). He is the recipient of the Best Paper Award in MICRO-49, IEEE Micro Top Picks (including Honorable Mention) in 2016 and 2021, and Best Paper Award Nomination in ISPASS 2020. He is also the recipient of the Samsung Scholarship 2012-2017.

Current Position

2021 September - Present

Staff Software Engineer

Google Sunnyvale, CA, USA

Hardware/Software Performance and Resource Effciency Optimization for Google Database & Analytics Systems.

My Education

Education

2012 September - 2018 June

Doctor of Philosophy

Master of Arts

Princeton University Princeton,  New Jersey,  USA

Ph.D in Electrical Engineering
Advisors : Margaret Martonosi and Juan Luis Aragon
Dissertation : Efficient data accesses in accelerator-based heterogeneous architecture

2009 September - 2011 December

Bachelor of Science

in Engineering

Duke University Durham,  North Carolina,  USA

Bachelor of Science in Electrical and Computer Engineering (GPA : 3.95 / 4.00)
Summa Cum Laude with Distinction in Electrical and Computer Engineering

Professional Background

Experiences

2018 July - 2021 July

Postdoctoral Researcher

Seoul National University Seoul,  Republic of Korea

Supervisor: Jae W. Lee
Software-hardware co-design for emerging applications,  and data access optimizations across systems and architectures.
This position also fulfills mandatory military service duty required for all Korean men.

2016 May - 2016 August

Graduate Research Intern

Microsoft Research Cambridge,  UK

Collaborator: Stavros Volos
Research on an efficient secure memory design with near-data computation

2015 May - 2015 November

Graduate Technical Intern

Intel Labs Santa Clara,  California,  USA

Collaborator: Professor Lisa Wu (Now at Duke University)
Research on a custom hardware accelerator for graph analytics application

2013 June - 2013 August

Co-op Engineer

AMD Research Austin,  Texas,  USA

Collaborator: Joseph L. Greathouse,  Mitesh Meswani and Nuwan Jayasena
Research on a mobile high-performance energy-efficient heterogeneous system consists of large, low memory bandwidth processors and small,  high memory bandwidth processors.

2012 June - 2012 August

Research Intern

Samsung Advanced Institute of Technology Seoul,  Republic of Korea

Collaborator: Woong Seo and Yeon-Gon Cho
Research on various techniques to reduce the performance/energy impact of GPU branch/memory divergence.

2011 January - 2012 May

Research Assistant

Systems Architecture Integration Lab. Duke University,  Durham,  North Carolina,  USA

Advisor: Professor Benjamin. C. Lee(Now at UPenn)
Research on efficient control and management of the heterogeneous memory system.

Academic Researches

Publications

ACM TOS

2023

An LSM Tree Augmented with B+ Tree on Nonvolatile Memory

Yunho Jin,  Shine Kim,  Tae Jun Ham,  and Jae W. Lee
ACM Transactions on Storage (TOS)

ISCA 2023 June

Orlando, Florida

Retrospective: Genesis: A Hardware Acceleration Framework for Genomic Data Analysis

Lisa Wu Wills,  Tae Jun Ham,  Jae W. Lee,  and Krste Asanovic
ISCA@50 25-year Retrospective: 1996-2020
98 papers were selected out of 1000+ papers across 25-years of ISCA history

ECCV 2022 October

Tel-aviv, Israel

L3: Accelerator-Friendly Lossless Image Format for High-Resolution, High-Throughput DNN Training

Jonghyun Bae,  Woohyeon Baek,  Tae Jun Ham,  and Jae W. Lee
European Conference on Computer Vision (ECCV)
Acceptance rate: 1650/5803=28(%)

IEEE TC

August 2022

Architecting a Flash-based Storage System for Low-cost Inference of Extreme-scale DNNs

Yunho Jin,  Shine Kim,  Tae Jun Ham,  and Jae W. Lee
IEEE Transactions on Computers (TC)

ACM TECS

March 2022

MaPHeA: A Lightweight Memory Hierarchy-aware Profile-guided Heap Allocation

Deok-Jae Oh,  Yaebin Moon,  Do Kyu Ham,  Tae Jun Ham,  Yongjun Park,  Jae W. Lee,  Jung Ho Ahn,  and Eojin Lee
ACM Transactions on Embedded Computing Systems (TECS)

MLSys 2022 August

Virtual Conference

ULPPACK: Fast Sub-8-bit Matrix Multiply on Commodity SIMD Hardware

Jaeyeon Won,  Jeyeon Si,  Sam Son,  Tae Jun Ham,  and Jae W. Lee
The 5th Conference on Machine Learning and Systems (MLSys)

HPCA 2022 April

Virtual Conference

ANNA: Specialized Architecture for Approximate Nearest Neighbor Search

Yejin Lee,  Hyunji Choi,  Sunhong Min,  Hyunseung Lee,  Sangwon Baek,  Dawoon Jeong,  Jae W. Lee,  and Tae Jun Ham (Co-corresponding)
The 28th IEEE International Symposium on High-Performance Computer Architecture (HPCA)
Acceptance rate: 80/262=30(%)

HPCA 2022 April

Virtual Conference

Mithril: Cooperative Row Hammer Protection on Commodity DRAM Leveraging Managed Refresh

Michael Jaemin Kim,  Jaehyun Park,  Yeonhong Park,  Wanju Doh,  Namhoon Kim,  Tae Jun Ham,  Jae W. Lee,  and Jung Ho Ahn
The 28th IEEE International Symposium on High-Performance Computer Architecture (HPCA)
Acceptance rate: 80/262=30(%)

ATC 2021 July

Virtual Conference

ASAP: Fast Mobile Application Switch via Adaptive Prepaging

Sam son,  Seung Yul Lee,  Yunho Jin,  Jonghyun Bae,  Jinkyu Jeong,  Tae Jun Ham,  Jae W. Lee,  Hongil Yoon
USENIX Annual Technical Conference (ATC)
Acceptance rate: 64/341=19(%)

LCTES 2021 June

Virtual Conference

MaPHeA: A Lightweight Memory Hierarchy-aware Profile-guided Heap Allocation

Deok-Jae Oh,  Yaebin Moon,  Eojin Lee,  Tae Jun Ham,  Jae W. Lee,  Jung Ho Ahn
ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES)

IEEE MICRO 2021

May/June

Accelerating Genomic Data Analytics with Composable Hardware Acceleration Framework

Tae Jun Ham,  David Bruns-Smith,  Brendan Sweeney,  Yejin Lee,  Seong Hoon Seo,  U Gyeong Song,  Young H. Oh,  Krste Asanovic,  Jae W. Lee,  Lisa Wu
IEEE Micro
Special Issue on Top Picks from the 2020 Computer Architecture Conferences

ISCA 2021 June

Virtual Conference

ELSA: Hardware-Software Co-design for Efficient, Lightweight Self-Attention Mechanism in Neural Networks [Link]

Tae Jun Ham*,  Yejin Lee*,  Seong Hoon Seo,  Soosung Kim,  Hyunji Choi,  Sung Jun Jung,  Jae W. Lee
The 47th ACM/IEEE International Symposium on Computer Architecture (ISCA)
Acceptance rate: 76/406=19(%)
(*Equal Contributions)

ISCA 2021 June

Virtual Conference

BOSS: Bandwidth-Optimized Search Accelerator for Storage-Class Memory [Link]

Jun Heo,  Seungyul Lee,  Sunhong Min,  Yeonhong Park,  Sung Jun Jung,  Tae Jun Ham,  Jae W. Lee
The 47th ACM/IEEE International Symposium on Computer Architecture (ISCA)
Acceptance rate: 76/406=19(%)

ASPLOS 2021 April

Virtual Conference

MERCI: Efficient Embedding Reduction on Commodity Hardware via Sub-Query Memoization [Link]

Yejin Lee,  Seong Hoon Seo,  Hyunji Choi,  Hyoung Wook Sul,  Soosung Kim,  Jae W. Lee, Tae Jun Ham (Corresponding Author)
The 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
Acceptance rate: 75/398=19(%)

FAST 2021 February

Virtual Conference

FlashNeuron: SSD Enables Large-Batch Training of Very Deep Neural Networks [Link]

Jonghyun Bae,  Jongsung Lee,  Yunho Jin,  Sam Son,  Shine Kim,  Hakbeom Jang,  Tae Jun Ham,  Jae W. Lee
USENIX Conference on File and Storage Technologiess (FAST)
Acceptance rate: 28/130=21(%)

FAST 2021 February

Virtual Conference

Behemoth: A Flash-centric Training Accelerator for Extreme-scale DNNs [Link]

Shine Kim*,  Yunho Jin*,  Gina Sohn,  Jonghyun Bae,  Tae Jun Ham,  Jae W. Lee
USENIX Conference on File and Storage Technologiess (FAST)
Acceptance rate: 28/130=21(%)
(*Equal Contributions)

HPCA 2021 February

Virtual Conference

Layerweaver: Maximizing Resource Utilization of Neural Processing Units via Layer-Wise Scheduling [Link]

Young H. Oh,  Seonghak Kim, Yunho Jin, Sam Son, Jonghyun Bae, Jongsung Lee, Yeonhong Park, Dong Uk Kim,Tae Jun Ham,  Jae W. Lee
The 27th IEEE International Symposium on High Performance Computer Architecture (HPCA)
Acceptance rate: 63/258=24(%)

ICCAD 2020 November

Virtual Conference

Unlocking Wordline-level Parallelism for Fast Inference on RRAM-based DNN Accelerator [Link]

Yeonhong Park,  Seung Yul Lee,  Hoon Shin,  Jun Heo,  Tae Jun Ham,  Jae W. Lee
The 39th IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
Acceptance rate: 127/470=27(%)

MICRO 2020 October

Virtual Conference

Graphene: Strong yet Lightweight Row Hammer Protection [Link]

Yeonhong Park,  Woosuk Kwon,   Eojin Lee,  Tae Jun Ham,  Jung Ho Ahn,  Jae W. Lee
The 53rd IEEE/ACM International Symposium on Microarchitecture (MICRO)
Acceptance rate: 82/424=19(%)
IEEE MICRO Top Picks Honorable Mention (one of the top 24 computer architecture papers of 2020)

ISPASS 2020 August

Boston,  USA

MosaicSim: A Lightweight,  Modular Simulator for Heterogeneous Systems [Link][Extended Technical Report]

Opeoluwa Matthews,  Aninda Manocha,  Davide Giri,  Marcelo Orenes-Vera,  Esin Tureci,  Tyler Sorensen,  Tae Jun Ham,  Juan L. Aragon,  Luca P. Carloni,  Margaret Martonosi
IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)
Acceptance rate: 25/73=34(%)
Best Paper Award Nominee

ISCA 2020 June

Valencia,  Spain

Genesis: A Hardware Acceleration Framework for Genomic Data Analysis [Link][Slide]

Tae Jun Ham,  David Bruns-Smith,  Brendan Sweeney,  Yejin Lee,  Seong Hoon Seo,  U Gyeong Song,  Young H. Oh,  Krste Asanovic,  Jae W. Lee,  Lisa Wu
The 47th ACM/IEEE International Symposium on Computer Architecture (ISCA)
Acceptance rate: 77/428=18(%)
IEEE MICRO Top Picks (one of the top 12 computer architecture papers of 2020)

ISCA 2020 June

Valencia,  Spain

A Specialized Architecture for Object Serialization with Applications to Big Data Analytics [Link]

Jaeyoung Jang,  Sung Jun Jung,  Sunmin Jeong,  Jun Heo,  Hoon Shin,  Tae Jun Ham,  Jae W. Lee
The 47th ACM/IEEE International Symposium on Computer Architecture (ISCA)
Acceptance rate: 77/428=18(%)

ISCA 2020 June

Valencia,  Spain

A Case for Hardware-based Demand Paging [Link]

Gyusun Lee*,  Wenjing Jin*,  Wonsuk Song,  Jeonghun Gong,  Jonghyun Bae,  Tae Jun Ham,  Jae W. Lee,  Jinkyu Jeong
The 47th ACM/IEEE International Symposium on Computer Architecture (ISCA)
Acceptance rate: 77/428=18(%)
(*Equal Contributions)

ASPLOS 2020 March

Lausanne,  Switzerland

IIU: Specialized Architecture for Inverted Index Search [Link]

Jun Heo,  Jaeyeon Won,  Yejin Lee,  Shivam Bharuka,  Jaeyoung Jang,  Tae Jun Ham,  Jae W. Lee
The 25th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
Acceptance rate: 86/476=18(%)

HPCA 2020 February

San Diego,  USA

A3: Accelerating Neural Network Attention Mechanism with Approximation [Link] [Slide]

Tae Jun Ham,  Sung Jun Jung,  Seonghak Kim,  Young H. Oh,  Yoon Ho Song,  Junghoon Park,  Sanghee Lee,  Kyoung Park,  Jae W. Lee,  Deog-Kyoon Jeong
The 26th IEEE International Symposium on High Performance Computer Architecture (HPCA)
Acceptance rate: 48/235=20(%)

MICRO 2019 October

Columbus,  USA

Charon: Specialized Near-Memory Processing Architecture for Clearing Dead Objects in Memory [Link]

Jaeyoung Jang,  Jun Heo,  Yejin Lee,  Jaeyeon Won,  Seonghak Kim,  Sung Jun Jung,  Hakbeom Jang,  Tae Jun Ham,  Jae W. Lee
The 52nd IEEE/ACM International Symposium on Microarchitecture (MICRO)
Acceptance rate: 79/344=23(%)

IEEE Micro 2019

Sep/Oct 2019

SSDStreamer: Specializing I/O Stack for Large-Scale Machine Learning [Link]

Jonghyun Bae,  Hakbeom Jang,  Jeonghun Gong,  Wenjing Jin,  Shine Kim,  Jaeyoung Jang,  Tae Jun Ham,  Jinkyu Jeong,  Jae W. Lee
IEEE Micro,  Sep/Oct 2019

ATC 2019 July

Renton,  USA

Asynchronous I/O Stack: A Low-latency Kernel I/O Stack for Ultra-Low Latency SSDs [Link]

Gyusun Lee,  Seokha Shin,  Wonsuk Song,  Tae Jun Ham,  Jae W. Lee,  Jinkyu Jeong
2019 USENIX Annual Technical Conference (ATC)
Acceptance rate: 71/356=20(%)

ATC 2019 July

Renton,  USA

Practical Erase Suspension for Modern Low-latency SSDs [Link]

Shine Kim,  Jonghyun Bae,  Hakbeom Jang,  Wenjing Jin,  Jeonghun Gong,  Seungyeon Lee,  Tae Jun Ham,  Jae W. Lee
2019 USENIX Annual Technical Conference (ATC)
Acceptance rate: 71/356=20(%)

ACM TACO

June 2019

Efficient Data Supply for Parallel Heterogeneous Architectures [Link]

Tae Jun Ham,  Juan Luis Aragon,  Margaret Martonosi
ACM Transactions on Architecture and Code Optimization (TACO)

ACM TACO

June 2017

Decoupling Data Supply from Computation for Latency-Tolerant Communication in Heterogeneous Architectures [Link]

Tae Jun Ham,  Juan Luis Aragon,  Margaret Martonosi
ACM Transactions on Architecture and Code Optimization (TACO)

MICRO 2016 October

Taipei,  Taiwan

Graphicionado: A High-Performance and Energy Efficient Accelerator for Graph Analytics [Link] [Slide]

Tae Jun Ham,  Lisa Wu,  Narayanan Sundaram,  Nadathur Satish,  Margaret Martonosi
The 49th IEEE/ACM International Symposium on Microarchitecture (MICRO)
Acceptance rate: 61/283=22(%)
Best Paper Award

MICRO 2015 December

Waikiki,  USA

DeSC: Decoupled Supply-Compute Communication Management for Heterogeneous Architectures [Link]

Tae Jun Ham,  Juan Luis Aragon,  Margaret Martonosi
The 48th IEEE/ACM International Symposium on Microarchitecture (MICRO)
Acceptance rate: 61/283=22(%)
IEEE MICRO Top Picks Honorable Mention (Top 23 computer architecture papers of 2015)
Motivated $5.8million DARPA-funded DECADES project

HPCA 2013 February

Shenzhen,  China

Disintegrated control for energy-efficient and heterogeneous memory systems [Link]

Tae Jun Ham,  Bharath K.Chelepalli,  Neng Xue,  Benjamin C.Lee
The 19th IEEE International Symposium on High Performance Computer Architecture (HPCA)
Acceptance rate: 51/249=20(%)

Honors,  Patents,  and Activities

 

Honors and Awards

IEEE MICRO Top Picks (2021)

Genesis paper is selected as one of top 12 computer architecture papers of 2020

IEEE MICRO Top Picks Honorable Mention (2021)

Graphene paper is selected as one of top 24 computer architecture papers of 2020

ISPASS Best Paper Award Nominee (2020)

MosaicSim paper is selected as the Best Paper Nominee in ISPASS 2020

MICRO-49 Best Paper Award (2016)

Graphicionado paper is selected as the Best Paper in MICRO 2016

IEEE MICRO Top Picks Honorable Mention (2016)

DeSC paper is selected as one of top 23 computer architecture papers of 2015

Facebook Graduate Fellowship Finalist (2016)

Gordon Y.S. Wu Fellowship (2012-2017),  Princeton University

Prestigious award given to top incoming graduate students.

Samsung Scholarship (2012-2017)

Prestigious award given to Korean students studying in US. Up to $50,000 per year for five years of graduate studies.

Summa Cum Laude (2011),  Duke University

Latin honor given to top graduates of the class

Patents

Method For Accelerating Candidate Selection based on Similarity and Accelerator for Performing Candidate Selection
(US11636173B2)

with Jae W. Lee,  Deog-Kyoon Jeong,  Seonghak Kim,  Sung Jun Jung,  and Minsoo Lim

Hammer refresh row address detector, and semiconductor memory device and memory module including the same
(US11568917B1)

wwith Hoon Shin,  Yeonhong Park,  Jae W. Lee,  Eojin Lee,  Woosuk Kwon,  and Jungho Ahn

Hardware Accelerator Performing Search using Inverted Index Structure and Search System including the Hardware Accelerator (US11544270B2)

with Jae W. Lee,  Jun Heo,  Jaeyeon Won,  Yejin Lee,  and Jaeyoung Jang

Method for processing page fault by processor (US11436150B2)

with Jinkyu Jeong,  Jae W. Lee,  Gyusun Lee,  and Wenjing Jin

Scheduler, Method for Operating the Same and Neural Network Accelerator System Including the Same
(Pending - US20210373944A1)

with Seung Wook Lee,  Jae W. Lee,  Young H. Oh,  and Seonghak Kim

Electronic Device and Method with Scheduling
(Pending - US20220114015A1)

with Seung Wook Lee,  Jae W. Lee,  Young Hwan Oh,  Sam Son,  and Yunho Jin

Processor, method of operating the processor, and electronic device including the same (for efficient quantized matrix multiplications)
(Pending - US20220253682A1)

with Jae W. Lee,  Jaeyeon Won,  and Seungwook Lee

Device for accelerating self-attention operation in neural networks
(Pending - US20230161783A1)

with Yejin Lee,  Seonghoon Seo,  Soosung Kim,  Hyun Ji Choi,  Jae W. Lee,  and Sung Jun Jung

Accelerator system for training deep neural network model using nand flash memory and operating method thereof
(Pending - US20230259747A1)

with with Jae W. Lee,  Yunho Jin,  Jonghyun Bae,  and Gin A Sohn

Invited Talks

DARPA HIVE PI Meeting - Portland,  USA (Oct 2017)

Graphicionado: A High-Performance and Energy Efficient Accelerator for Graph Analytics

KAIST,  POSTECH - South Korea (Sep 2017)

DeSC: Decoupling Data Supply from Computation for Latency-Tolerant Communication in Heterogeneous Architectures

HiPEAC - Bologna,  Italy (Jan 2020)

Efficient Data Supply for Parallel Heterogeneous Architectures

Seoul National University AI Summer School - South Korea (Aug 2020)

Accelerating Neural Network Attention Mechanism with HW/SW Codesign

POSTECH Summer AI Seminar - South Korea (Aug 2020)

Hardware/Software Co-design for Modern AI and Data Analytics Applications

Research Mentoring

I closely worked with these students and supervise their work (along with their primary supervisor Jae W. Lee) through frequent (often more than once a week) meetings with each group of students. I provided research advices/guidances,  as well asa help on technical implementation or writing.

Graduate Student Mentees

Jaeyoung Jang (Now at Samsung) - Graduated with Ph.D from Sungkyunkwan University (Jul 2018 - Jan 2020)
Young H. Oh (Now at Samsung) - Graduated with Ph.D from Sungkyunkwan University (Jul 2018 - Jul 2021)
Jun Heo (Now at Samsung) - Graduated with Ph.D from Seoul National University (Jul 2018 - Jul 2021)
Jonghyun Bae (Now a Postdoc at Lawrence Berkeley National Laboratory) -Graduated with Ph.D from Seoul National University (Sep 2018 - Jul 2021)
Shine Kim (Now at Samsung) - Graduated with Ph.D from Seoul National University (Sep 2018 - Jul 2021)
Yunho Jin (Now a Ph.D student at Harvard)- Graduated with MS from Seoul National University (Jun 2019 - Jul 2021)
Sam Son (Now a Ph.D student at UC Berkeley) - Graduated with MS from Seoul National University (Jan 2020 - Jul 2021)
Hyunji Choi (Now at Meta) - Graduated with MS from Seoul National University (Jan 2020 - Jul 2021)
Wenjing Jin - Ph.D Candidate at Seoul National University (Jan 2019 - Jul 2021)
Sung Jun Jung - Ph.D Student at Seoul National University (Sep 2018 - Present)
Yejin Lee (Now at Meta) - Ph.D Student at Seoul National University (Jan 2019 - Jul 2021)
Yeonhong Park - Ph.D Student at Seoul National University (Jun 2019 - Jul 2021)
Seong Hoon Seo - Ph.D Student at Seoul National University (Jun 2019 - Jul 2021)
Seung Yul Lee - Ph.D Student at Seoul National University (Jan 2020 - Jul 2021)
Soosung Kim - Ph.D Student at Seoul National University (Jan 2020 - Jul 2021)

Undergraduate Student Mentees

Jaeyeon Won (Now a Ph.D student at MIT)- Graduated with BSE from Seoul National University (Jan 2019 - Aug 2019; May 2020- Jul 2021)
Wookyung Song - Undergraduate at Seoul National University (Jun 2019 - Aug 2019)
Hyoung Uk Sul - Undergraduate at Seoul National University (Jun 2020 - Aug 2020)

Services

  • Web Chair, International Symposium on Code Generation and Optimization (CGO '21)
  • Web Chair, International Symposium on Code Generation and Optimization (CGO '22)
  • External Review Committee, ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '19)
  • Program Committee, ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '23, 24 - Spring, Summer, Fall)
  • Program Committee, IEEE MICRO Top Picks (2022)

Reviews

  • IEEE Transactions on Very Large Scale Integration Systems (TVLSI) - 2015
  • IEEE Transactions on Mobile Computing (TMC) - 2016
  • IEEE Transactions on Computer (TC) - 2017,  2018,  2020
  • IEEE Computer Architecture Letters (CAL) - 2018
  • IEEE Micro - 2019
  • ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS) - 2017
  • ACM/IEEE International Symposium on Computer Architecture (ISCA) - 2020
  • ACM Transactions on Architecture and Code Optimization (TACO) - 2014
  • ACM Transactions on Parallel Computing (TOPC) - 2019
  • Elsevier Future Generation Computer Systems (FGCS) - 2019